From 4403c176938a990f51762a48e95d1f03a11adc4e Mon Sep 17 00:00:00 2001 From: Alberto Ruiz Date: Wed, 11 Jun 2014 13:52:44 +0200 Subject: roundVector --- packages/base/src/C/vector-aux.c | 10 ++++++++++ packages/base/src/Data/Packed/Internal/Numeric.hs | 3 +-- packages/base/src/Data/Packed/Numeric.hs | 3 +-- packages/base/src/Numeric/Vectorized.hs | 11 ++++++++++- 4 files changed, 22 insertions(+), 5 deletions(-) (limited to 'packages') diff --git a/packages/base/src/C/vector-aux.c b/packages/base/src/C/vector-aux.c index b176089..efb82af 100644 --- a/packages/base/src/C/vector-aux.c +++ b/packages/base/src/C/vector-aux.c @@ -783,3 +783,13 @@ int sort_values(KDVEC(v),DVEC(r)) { OK } +//////////////////////////////////////////////////////////////////////////////// + +int round_vector(KDVEC(v),DVEC(r)) { + int k; + for(k=0; k