From 68d90babfa339729e4a60235af1ee7e9e3e67db2 Mon Sep 17 00:00:00 2001 From: Péter Diviánszky Date: Thu, 17 Dec 2015 16:51:32 +0100 Subject: bugfix; example07 passes --- prototypes/Infer.hs | 2 +- prototypes/tests/accept/example07.lc | 1 + 2 files changed, 2 insertions(+), 1 deletion(-) create mode 120000 prototypes/tests/accept/example07.lc (limited to 'prototypes') diff --git a/prototypes/Infer.hs b/prototypes/Infer.hs index 6e261c12..86f6053e 100644 --- a/prototypes/Infer.hs +++ b/prototypes/Infer.hs @@ -1637,7 +1637,7 @@ parseTerm ns PrecAtom e = mkSwizzling term = swizzcall where sc c = SGlobal $ 'S':c:[] - swizzcall [x] = SGlobal "swizzscalar" `SAppV` term `SAppV` sc x + swizzcall [x] = SGlobal "swizzscalar" `SAppV` term `SAppV` (sc . synonym) x swizzcall xs = SGlobal "swizzvector" `SAppV` term `SAppV` swizzparam xs swizzparam xs = foldl (\exp s -> exp `SAppV` s) (vec xs) $ map (sc . synonym) xs vec xs = SGlobal $ case length xs of diff --git a/prototypes/tests/accept/example07.lc b/prototypes/tests/accept/example07.lc new file mode 120000 index 00000000..70995cd0 --- /dev/null +++ b/prototypes/tests/accept/example07.lc @@ -0,0 +1 @@ +../../../tests/accept/example07.lc \ No newline at end of file -- cgit v1.2.3